跳到主要内容

简单(我想)锁存问题

1个月前

简单(我想)锁存问题

张贴了renegade_of_funk.10分 1回复
0旋转

您好,我需要在启动时锁定我的一个引脚的输入,并保存该值以用于Mux控制位。(它翻转USB C并重新排出SPI总线)

我似乎不能让闩锁工作才能暂停初始值,而初始引脚使用更改状态时不会更改。即,我想使用CS线(开始高)来检测信号位置(我的其他信号都是低电平的)

是否有一个聪明的方法来设置闩锁,以便抓住初始值,然后不会改变直到电源周期?

我正在SLG46824开发。

谢谢你。

1个月前

Ssaravan.

你好,

感谢您与我们联系。如果您使用具有初始极性的锁存器(“1”),则NRST输入应连接到VDD,否则锁存器可能无法工作。同时测试设计,代替仿真模式,请编程芯片并使用测试模式。

如果您有其他问题,请告诉我

亲切的问候

夏天